关注华体会智能掌握最新行业动态与资讯
当前位置:首页 >  新闻中心 >  公司新闻

华体会,新思科技推出突破性ECO解决方案PrimeClosure,助力设计效率提升10倍

发布日期:2024-09-10

为基在进步前辈工艺的HPC、AI、汽车和挪动芯片设想供给更快的设想收敛路径 加利福尼亚州山景城,2022年10月14日——近日,新思科技(Synopsys, Inc.,纳斯达克股票代码:SNPS)公布推出冲破性的黄金签核ECO处理方案,旨在处理项目设想收敛时候太长的问题,从而提高进步前辈电子设想效力,实现更佳功耗、机能和面积(PPA)方针。新思科技PrimeClosure处理方案将行业领先的ECO签核处理方案——新思科技PrimeECO™和新思科技Tweaker™ ECO——与多种冲破性的立异手艺相连系,实现更快的ECO收敛时候,同时统筹高容量和PrimeTime®黄金签核精度。与保守的ECO流程比拟,初期客户采取PrimeClosure处理方案实现了时序提高45%、功耗下降10%、ECO迭代次数削减50%、设想效力晋升10倍。 Socionext全球开辟事业群后端开辟部总司理Kenta Sokawa暗示:“我们专注在汽车、数据中间收集智能装备等范畴的年夜型进步前辈节点设想,是以ECO的快速周转时候对我们实现营业成功相当主要。新思科技PrimeClosure处理方案将我们的周转时候加速了5倍以上,利用的机械内存削减了3倍,所需的机械资本削减了5倍。这些初步功效使人振奋,我们但愿与新思科技展开进一步合作,联袂冲破保守的ECO挑战,将年夜型设想项目标设想收敛效力晋升10倍以上。” 新思科技PrimeClosure处理方案已可供初期客户利用,估计将在2022年12月周全上市。点击链接,便可查看更多消息:https://www.synopsys.com/implementation-and-signoff/signoff/primeclosure.html。 加快设想收敛数据中间、便携装备、汽车电子人工智能物联网等利用范畴都对PPA提出了更高的要求。进步前辈工艺节点的成长发生了新物理法则和影响PPA的新效应。深亚微米设想的范围和复杂性都很是庞大,是以为修复问题而进行的每次阐发和ECO优化都需要更长时候,并耗损更多的计较资本。处置年夜量背例并推动收敛以将其削减至零,是ECO的一个主要挑战。 新思科技PrimeClosure处理方案具有立异的针对性优化功能,可以或许极年夜地改良PPA、时序、时钟收集、压降、变同性和老化等设想目标。该处理方案与新思科技Fusion Compiler™ RTL-to-GDSII处理方案、新思科技PrimeTime静态时序阐发处理方案慎密集成,可以或许实现黄金签核精度,从而供给完全流程以加速年夜型设想项目标设想收敛和上市时候。 借助其立异的千兆芯片条理化设想手艺,新思科技PrimeClosure处理方案能以相对较少机械数目,针对具有十亿级范围尺度单位和数百种阐发场景的设想进行无缝扩大,从而实现业界领先的快速设想周转时候。它的优化剪枝手艺能够有用挑选数以千计的场景和数以百计的条理化模块,削减需要优化的数据集数目,终究使设想周转时候加快跨越40%,削减高达60%的内存耗损。 优化“最初一英里”进步前辈芯片设想收敛“最初一英里”的设想优化对实现最好PPA相当主要。对此,新思科技PrimeClosure处理方案能够间接利用业界领先的新思科技数字设想系列产物(Digital Design Family)中慢慢启用且不竭增添的结构、布线、参数提取、物理验证、等效查抄和签核手艺。另外,新思科技PrimeClosure处理方案集成了Ansys RedHawk-SC数字电源完全性签核处理方案,实现了冲破性的主动化后期黄金签核时序ECO处理方案,可正确计较和修复高达50%的后期动态压降背例,并在不影响芯片时序的环境下极年夜水平地提高能效比。这类单一情况的设想收敛套件能够确保每项变动都获得充实实现和验证,并为结构、布线和时序协同优化缔造新机遇,从而实现保守设想收敛流程没法到达的PPA优化结果。 新思科技数字设想事业部项目高级副总裁Jacob Avidan暗示:“提崇高高贵收敛设想效力需要可以或许在庞大设想空间中快速且有用地优化 PPA 方针的立异处理方案。新思科技PrimeClosure产物为业界供给了一个冲破性的黄金签核ECO处理方案,使开辟者可以或许自傲地找到设想收敛的最快路径,是以公司可以或许在更短的时候内完成更多的工作。” 

�����APP

Copyright © 2019 华体会智能技术股份有限公司.粤ICP备16017609号

粤公网安备44030402003674号

友情链接: 友情链接 | 网站地图

微信关注 微信关注
微博
0755-83218588
TOP